debian-glibc Mar 2011 by subject

[First Page] <
[previous page]
Page 1 of 1 >
[next page]
[Last Page]

[Thread Index] [Date Index] [Author Index] [Other Debian Lists] [Debian Home]
[no subject] [bts-link] source package eglibc [PATCH] Provide packaging rules in eglibc-source Betreft uw verwarmingsfactuur Bug#200641: zdump -v on alpha (and other 64-bit archs), and gmtime Bug#238786: Not a libc bug Bug#372544: marked as done (libc6-dev: fma() is incorrect (inaccurate), not conform to C99) Bug#379938: free() in dlerror() if library is not present Bug#408959: marked as done (libc6: powerpc optimization patch) Bug#446503: marked as done (glibc: m68K architecture is not supported) Bug#521737: marked as done ([alpha] Segfault in memchr when called via strstr) Bug#558314: marked as done (eglibc: Add support for NTP API 4) Bug#561249: marked as done (g++-4.4: Spurious warning with -Wconversion (uint16_t/htons) in -O2 mode) Bug#563724: marked as done (futimens() is not POSIX compliant) Bug#566297: marked as done (new libc6 NIS queries passwd.adjunct.byname (as ordinary user).) Bug#566844: closed by Aurelien Jarno <aurel32@debian.org> (Bug#566844: fixed in eglibc 2.13-0exp3) Bug#566844: marked as done (libc6 causing "Authentication service cannot retrieve authentication info") Bug#583433: libc6-dev: bassackwards tests for ARG_MAX in sys/param.h Bug#584914: Relaated to #616171 Bug#588218: marked as done (libc-bin: ldconfig unconditionally create auxilary cache file at fixed path) Bug#593571: libc6: RES_ROTATE resolver option broken? Bug#601126: marked as done (eglibc: [m68k] please apply patch for m68k TLS support) Bug#602776: marked as done (libc: missing syscall stubs for jail_set, jail_get, jail_remove) Bug#609306: marked as done (eglibc: Please provide a C.UTF-8 locale by default) Bug#609389: libc-bin: getconf -a have many variables set not properly (i.e. LEVEL*CACHE*) Bug#609389: marked as done (libc-bin: getconf -a have many variables set not properly (i.e. LEVEL*CACHE*)) Bug#610475: marked as done (bump minimum kernel version) Bug#610824: marked as done (libc6-dev: POSIX/SUS defines and functions require GNU specific defines) Bug#611195: marked as done (vlc-nox: generating plugin cache at postinst segfaults on PaX kernel) Bug#611644: marked as done (libc6-dev: Wrong definition of __bswap_constant_16 in bits.h generates spurious compiler warnings with optimizations) Bug#611926: marked as done (eglibc compiles extremely huge binary-indep parts on buildds) Bug#612792: marked as done (KDE start fail and report a bug on libc6) Bug#614099: marked as done (libc6.1 lacks inotify_init1 and other syscalls on alpha) Bug#615634: marked as done (sed use of 0 instead of 1 start addess leads to no locales behing selected) Bug#615916: libc6: SegFault in Mplayer Bug#615916: marked as done (libc6: SegFault in Mplayer) Bug#616171: libc6: Multiple calls to getgrouplist give different answers with/without nscd running Bug#616171: nsswitch settings change this Bug#616171: Related to #584914 Bug#616298: eglibc: FTBFS with current sid Bug#616298: marked as done (eglibc: FTBFS with current sid) Bug#616627: stdio functions should not be declared __wur (ignoring return value of ‘fwrite’, declared with attribute warn_unused_result) Bug#617331: marked as done (tzdata: Chile delay in 3 weeks the daylight time transition) Bug#617331: Pushing tzdata updates to stable in time Bug#617331: tzdata: Chile delay in 3 weeks the daylight time transition Bug#617894: [ARMel] tgammal isn't accurate enough Bug#617973: libc6-dev: wrong SOCK_CLOEXEC on hppa causes udev startup to fail and boot fails Bug#617973: marked as done (libc6-dev: wrong SOCK_CLOEXEC on hppa causes udev startup to fail and boot fails) Bug#618254: Bug#618254: marked as done (dosbox: Segfault on startup: dl-lookup.c not found) Bug#618562: syscall in libc6 is broken for __NR_fanotify_mark Bug#619186: Fix multiarch FHS exception for i386 in light of recent discussions Bug#619406: locale.gen: Please keep /etc/locale.gen in a canonical sorted order, to improve diffs Bug#619988: transition: eglibc 2.13 Bug#620134: locales/locales_to_be_generated is not read when reconfiguring locales Bug#620203: [alpha] libc-bin / libc6.1: LEVEL*CACHE* inproperly mixed Bug#620288: tzdata: Chile delay (again) the daylight time transition Bug#620311: tzdata: new 2011 DST for Morocco (Africa/Casablanca) Help needed with eglibc 2.13 multiarch support patches multiarch support: architecture-independent lintian overrides multiarch support: ldconfig needs to look at system paths Panem savu daavanu karti!... Processed: Not a libc bug Processed: Re: Bug#615962: linux-image-2.6.37-1-amd64: can not boot after updating to a new kernel 2-6.37-1 Processed: Re: Bug#616298: eglibc: FTBFS with current sid Processed: Re: Bug#617894: [ARMel] tgammal isn't accurate enough Processed: Re: Bug#618254: dosbox: Segfault on startup: dl-lookup.c not found Processed: Re: libc6-dev: bassackwards tests for ARG_MAX in sys/param.h Processed: reassign 603921 to debconf Processed: reassigning bug Processed: tagging 372544 Processed: tagging 602776 Processed: tagging 609389 Processed: tagging 614099 Processed: tagging 616298 Processed: tagging 617331 Processed: tagging 617973 Processing of tzdata_2011c-0lenny1_all.changes Processing of tzdata_2011c-0squeeze1_all.changes Processing of tzdata_2011c-1_all.changes Processing of tzdata_2011d-0lenny1_amd64.changes Processing of tzdata_2011d-0squeeze1_amd64.changes Processing of tzdata_2011d-1_all.changes r4557 - in glibc-package/branches/eglibc-2.13/debian: . patches patches/alpha r4558 - in glibc-package/branches/eglibc-2.13/debian: . patches patches/any r4559 - glibc-package/branches/eglibc-2.13/debian r4560 - in glibc-package/branches/eglibc-2.13/debian: . patches patches/s390 r4561 - glibc-package/branches/eglibc-2.13/debian/testsuite-checking r4562 - glibc-package/branches/eglibc-2.13/debian/testsuite-checking r4563 - glibc-package/branches/eglibc-2.13/debian/testsuite-checking r4564 - glibc-package/branches/eglibc-2.13/debian/testsuite-checking r4565 - in glibc-package/trunk/debian: . testsuite-checking r4566 - glibc-package/branches/eglibc-2.13/debian r4567 - glibc-package/branches/eglibc-2.13/debian/patches/hurd-i386 r4568 - in glibc-package/trunk: . debian debian/patches debian/patches/hurd-i386 r4569 - glibc-package/branches/eglibc-2.13 r4570 - tzdata/trunk/debian r4571 - tzdata/tags r4572 - in glibc-package/branches/eglibc-2.13/debian: . patches patches/alpha r4573 - glibc-package/branches/eglibc-2.13/debian r4574 - in glibc-package/branches/eglibc-2.13/debian: . patches patches/hppa r4575 - in glibc-package/branches/eglibc-2.13/debian: . patches patches/hppa r4576 - tzdata/branches r4577 - tzdata/trunk/debian r4578 - tzdata/branches/glibc-branch-squeeze/debian r4579 - tzdata/branches/glibc-branch-lenny/debian r4580 - glibc-package/branches/eglibc-2.13/debian/patches/hppa r4581 - in glibc-package/branches/eglibc-2.13/debian: . control.in debhelper.in rules.d r4582 - glibc-package/branches/eglibc-2.13/debian r4583 - in glibc-package/branches/eglibc-2.13/debian: . debhelper.in r4584 - tzdata/trunk/debian r4585 - tzdata/tags r4586 - in glibc-package/branches/eglibc-2.13/debian: . patches patches/alpha r4587 - glibc-package/branches/eglibc-2.13/debian/testsuite-checking r4588 - glibc-package/branches/eglibc-2.13/debian r4589 - tzdata/branches/glibc-branch-squeeze/debian r4590 - tzdata/branches/glibc-branch-lenny/debian r4591 - in glibc-package/branches/eglibc-2.13/debian: . patches patches/alpha r4592 - glibc-package/branches/eglibc-2.13/debian/testsuite-checking r4593 - in glibc-package/branches/eglibc-2.13/debian: . patches patches/i386 r4594 - in glibc-package/branches/eglibc-2.13/debian: . patches/any r4595 - glibc-package/branches/eglibc-2.13/debian/patches r4596 - in glibc-package/branches/eglibc-2.13/debian: . patches patches/alpha r4597 - glibc-package/branches/eglibc-2.13/debian/testsuite-checking r4598 - in glibc-package/branches/eglibc-2.13/debian: . patches/kfreebsd r4599 - in glibc-package/branches/eglibc-2.13/debian/patches: . hurd-i386 r4600 - in glibc-package/branches/eglibc-2.13/debian: . patches patches/hurd-i386 r4601 - glibc-package/branches/eglibc-2.13/debian/patches/hurd-i386 Request for transition slot for eglibc Re: there is /usr/lib64 symlink but no /usr/local/lib64 thong tin nha dat tzdata override disparity Fwd: tzdata-2011d in volatile tzdata_2011c-0lenny1_all.changes ACCEPTED tzdata_2011c-0lenny1_all.changes ACCEPTED into oldstable-proposed-updates tzdata_2011c-0squeeze1_all.changes ACCEPTED into proposed-updates tzdata_2011c-1_all.changes ACCEPTED into unstable tzdata_2011d-0lenny1_amd64.changes ACCEPTED tzdata_2011d-0squeeze1_amd64.changes ACCEPTED into proposed-updates tzdata_2011d-1_all.changes ACCEPTED into unstable The last update was on 06:12 GMT Thu May 02. There are 241 messages. Page 1 of 1.

<<
[previous month]
|<
[first page]
<
[previous page]
Page 1 of 1
>
[next page]
>|
[last page]
>>
[next month]

[Thread Index] [Subject Index] [Author Index] [Other Debian Lists] [Debian Home]

Mail converted by MHonArc