[Date Prev][Date Next] [Thread Prev][Thread Next] [Date Index] [Thread Index]

Re: schematic capture (orcad)




On Wed, 8 Dec 2004, Harland Christofferson wrote:

> 
> does anyone have a recomendation for an orcad-like package?

lots of um
	linux-cae.net/CAE

use eagle
	- supported by lots of pcb manufacturers too if you're
	intending to make a pcb after you're done creating
	the schematic

- if you're looking to detailed timing simulations ( logic an circuit and
  process and fault simulations ) than you're in for some fun

c ya
alvin



Reply to: