[Date Prev][Date Next] [Thread Prev][Thread Next] [Date Index] [Thread Index]

Bug#355154: libgnat-3.4: insatisfiable depends in unstable



Matthias Klose <doko@cs.tu-berlin.de> writes:
> Ludovic Brenta writes:
>> ghdl is a VHDL front-end to GCC, and so depends on a particular
>> version of the GCC back-end.  Since it is written in Ada, it requires
>> the Ada run-time.  Strangely, upstream's latest version, 0.21, uses
>> GCC 4.0.2 as its back-end, and 0.21 is in unstable.  How come it still
>> requires libgnat-3.4 in Debian?  Sounds like a case for another bug.
>> 
>> Matthias, what do you suggest?
>
> yes, and maybe point out, that you'll go for gnat-4.1 as the gnat
> compiler in etch.

I just had time to check that the version currently in unstable
build-depends on gnat-4.0, not gnat-3.4, which is fine for the time
being.  Steinar, are you sure your installed ghdl is the latest
version?

-- 
Ludovic Brenta.




Reply to: