[Date Prev][Date Next] [Thread Prev][Thread Next] [Date Index] [Thread Index]

freehdl default binding



Ciao a tutti, 
ho un problema con freehdl, ho googlato tutto il pomeriggio senza
trovare nessuna informazione utile.
Sperando che il lista qualcuno lo sappia usare, il mio problema sta nel
binding dei componenti: ho scritto una entity con la relativa
architecture in un file, mentre in un secondo file (test bench) la
richiamo come component.
compilo il primo file con:
%gvhdl -c adder.vhd
il secondo con 
%gvhdl --libieee tb_adder.vhdl adder.o

ma quando lancio l'eseguibile:
%./tb_adder
Sorry, only default component binding is currently supported. No default binding for component adder found!

qualcuno sa come risolvere il problema?
thanks

Massimiliano

-- 
[Massimiliano Raciti   - mraciti(at)gmail(dot)com  ]
[GPG ID: 0xDA1258DC    - key on http://pgp.mit.edu ]

Attachment: signature.asc
Description: PGP signature


Reply to: